Loughborough University
Browse
biothreads_1 0IEEE Paper.pdf (1.2 MB)

BioThreads: a novel VLIW-based chip multiprocessor for accelerating biomedical image processing applications

Download (1.2 MB)
journal contribution
posted on 2016-05-20, 10:13 authored by David Stevens, Vassilios Chouliaras, V Azorin-Peris, Jia Zheng, Angelos S. Echiadis, Sijung HuSijung Hu
We discuss BioThreads, a novel, configurable, extensible system-on-chip multiprocessor and its use in accelerating biomedical signal processing applications such as imaging photoplethysmography (IPPG). BioThreads is derived from the LE1 open-source VLIW chip multiprocessor and efficiently handles instruction, data and thread-level parallelism. In addition, it supports a novel mechanism for the dynamic creation, and allocation of software threads to uncommitted processor cores by implementing key POSIX Threads primitives directly in hardware, as custom instructions. In this study, the BioThreads core is used to accelerate the calculation of the oxygen saturation map of living tissue in an experimental setup consisting of a high speed image acquisition system, connected to an FPGA board and to a host system. Results demonstrate near-linear acceleration of the core kernels of the target blood perfusion assessment with increasing number of hardware threads. The BioThreads processor was implemented on both standard-cell and FPGA technologies; in the first case and for an issue width of two, full real-time performance is achieved with 4 cores whereas on a mid-range Xilinx Virtex6 device this is achieved with 10 dual-issue cores. An 8-core LE1 VLIW FPGA prototype of the system achieved 240 times faster execution time than the scalar Microblaze processor demonstrating the scalability of the proposed solution to a state-of-the-art FPGA vendor provided soft CPU core.

History

School

  • Mechanical, Electrical and Manufacturing Engineering

Published in

IEEE Transactions on Biomedical Circuits and Systems

Citation

STEVENS, D. ... et al., 2011. BioThreads: a novel VLIW-based chip multiprocessor for accelerating biomedical image processing applications. IEEE Transactions on Biomedical Circuits and Systems, DOI: 10.1109/TBCAS.2011.2166962.

Publisher

© IEEE

Version

  • AM (Accepted Manuscript)

Publisher statement

This work is made available according to the conditions of the Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 International (CC BY-NC-ND 4.0) licence. Full details of this licence are available at: https://creativecommons.org/licenses/by-nc-nd/4.0/

Publication date

2011

Notes

© 2011 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other users, including reprinting/ republishing this material for advertising or promotional purposes, creating new collective works for resale or redistribution to servers or lists, or reuse of any copyrighted components of this work in other works.

ISSN

1932-4545

Language

  • en